aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1096/tb_my_entity.vhdl
blob: a6394930974e81cb176cfd0d9dc5be669fdb1153 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_my_entity is
end tb_my_entity;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_my_entity is
  signal a : std_logic_vector(0 downto 0);
begin
  dut: entity work.my_entity
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a = "0" severity failure;
    wait;
  end process;
end behav;