aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1096/my_entity.vhdl
blob: 39e2364123538bae05d968ac67a1cfffd3e356bd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library ieee;
use ieee.std_logic_1164.all;

package mask_pkg is
  constant MASK: std_logic_vector(0 downto 0);
end package;

package body mask_pkg is
  constant MASK: std_logic_vector(0 downto 0) := "0";
end package body;

library ieee;
use ieee.std_logic_1164.all;

entity my_entity is
  port (
    data: out std_logic_vector(0 downto 0)
  );
end entity;

use work.mask_pkg.all;

architecture arch of my_entity is
begin
  data <= MASK;
end architecture;