aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1086/test.vhdl
blob: b88616eea522e70fcf9fb9ff59f1148f92fb1ec4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity test is
end entity test;

architecture rtl of test is
    type reg_internal_type is record
        outstanding : integer;
    end record;
begin
    control1 : process(all)
        variable v_int : reg_internal_type;
    begin
    end process;
end;