aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1082/test.vhdl
blob: 00d84309221de4ceae373646ab20a655a273f6fa (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
    port(
	a_in     : in  std_logic_vector(31 downto 0);
	b_out     : out  std_logic_vector(31 downto 0)
	);
end test;

architecture rtl of test is
begin
    process(all)
    begin
      b_out <= std_logic_vector
               (to_unsigned((31-to_integer(unsigned(a_in))) / 4, 32));
    end process;
end;