aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1076/tb_ent2.vhdl
blob: 42cc0b6b2405588057721e88f4f55581cd9ee592 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
entity tb_ent2 is
end tb_ent2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent2 is
  signal i   : std_logic;
  signal a   : std_logic;
  signal b   : std_logic;
begin
  dut: entity work.ent2
    port map (i => i, o => a, q => b);

  process
  begin
    
    wait for 1 ns;
    assert a = '0' severity failure;
    wait;
  end process;
end behav;