aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1076/ent2.vhdl
blob: ca10c2e4a0a63208cb2a6f0ca436b397c0d5b156 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
library ieee;
use ieee.std_logic_1164.all;

entity ent2 is
	-- This case works.
	-- generic ( CONFIG_C1: boolean := false );
	port (
		i   : in std_logic;
		o   : out std_logic;
		q   : out std_logic
	);
        constant CONFIG_C1 : boolean := false;
end;

architecture a of ent2 is
   component c1 is
	port (i: in std_logic; o   : out std_logic);
   end component;

   component c2 is
	port (i: in std_logic; o   : out std_logic);
   end component;
begin
	gen: if false generate
		o <= '1';
	else generate
		o <= '0';
	end generate;

maybe_c1:
	if CONFIG_C1 generate
	c1_inst: c1 port map (i => i, o=> q);
	end generate;

maybe_c2:
	if not CONFIG_C1 generate
	c2_inst: c2 port map (i => i, o=> q);
	end generate;
		

end;

-- Added entities to satisfy simulation:

library ieee;
use ieee.std_logic_1164.all;

entity c1 is
	port (i: in std_logic; o   : out std_logic);
end entity;

architecture a of c1 is
begin
	o <= i;
end a;
	
library ieee;
use ieee.std_logic_1164.all;

entity c2 is
	port (i: in std_logic; o   : out std_logic);
end entity;

architecture a of c2 is
begin
	o <= i;
end a;