aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1076/ent.vhdl
blob: 88dc7ccab28ad1f0fd05c561dc95134b12d8aa05 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

entity ent is
	port (
		o : out std_logic
	);
end;

architecture a of ent is
begin
	gen: if false generate
		o <= '1';
	else generate
		o <= '0';
	end generate;
end;