aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1062/tb_ent.vhdl
blob: b713bebd1066c84c7fa2193bfd57967cc8127126 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal a : std_logic;
begin
  dut: entity work.ent
    port map (a);

  process
  begin
    wait for 1 ns;
    assert a = '0' severity failure;
    wait;
  end process;
end behav;