aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1058/tb_ent.vhdl
blob: 10391f98cb6207c7a25d6f9c2dcc10cbf483faf8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal clk : std_logic;
  signal v : std_logic_vector (31 downto 0);
begin
  dut: entity work.ent
    port map (clk => clk, o => v);

  process
  begin
    clk <= '0';
    wait for 1 ns;
    clk <= '1';
    wait for 1 ns;
    assert v = x"8000_0000" severity failure;
    wait;
  end process;
end behav;