aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1050/top.vhdl
blob: 23a9e2ff366da13f8f75872baad7193b2445ee0d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
use ieee.std_logic_1164.ALL;

entity child is
  port (
    O1: out std_logic;
    O2: out std_logic
  );
end entity child;

architecture rtl of child is
begin
  O1 <= '0';
  O2 <= '1';
end architecture rtl;


library ieee;
use ieee.std_logic_1164.ALL;

entity top is
  port (
    O: out std_logic
  );
end entity top;

architecture rtl of top is
  component child is
    port (
      O1: out std_logic;
      O2: out std_logic
    );
  end component child;
begin
  inst : child port map(O1 => O);
end architecture rtl;