aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1044/ent.vhdl
blob: 5c0fb647127ea60bd12e67502f8f8f539033ce83 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity ent is
	generic (
		VAL : real := 1.5
	);
	port (
		lt  : out std_logic
	);
end;

architecture a of ent is
   constant fmul : real := val * 5.0;
   constant fneg : real := -val;
   constant fid : real := +val;
   constant fabs : real := abs val;
   constant fexp : real := val ** 2;
begin
	lt  <= '1' when VAL  < 1.5 else '0';
end;