aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1036/ent.vhdl
blob: 534c32b56055ca86c6a2b8a5e9edc35d40160e58 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity ent is
end ent;

architecture a of ent is
	signal s : string(1 to 3);
begin
	s <= "abc";

	process(all)
	begin
		case s is
			when "abc" =>
			when others =>
		end case;
	end process;
end a;