aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1034/tb_ent.vhdl
blob: fdeda6146465d4866971aee64526421cc2ebcfe5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity tb_ent is
end tb_ent;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent is
  signal a : bit_vector(7 downto 0);
begin
  dut: entity work.ent
    port map (a);
end behav;