aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1034/ent.vhdl
blob: 371e687bec57d3e45f79c08d5b9c46826a25cef4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
use work.p.all;

entity ent is
	generic (
		WIDTH : natural := 8
	);
	port (
		test : in bit_vector(id(WIDTH)-1 downto 0)
	);
end ent;

architecture a of ent is
begin
end a;