aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1011/record_test.vhdl
blob: 3cd66d4fe1cee9eb5543972f6237d2b8fad45e3e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
library ieee;
  use ieee.std_logic_1164.all;

entity record_test is
  port (
    o : out integer
  );
end record_test;

architecture rtl of record_test is
  type t_record is record
    int  : integer;
  end record t_record;

  function get_constants(choice : std_logic) return t_record is
    variable v_const : t_record;
  begin
    if choice = '0' then
      v_const := (int => 27.777 us / 83.333 ns);
    elsif choice = '1' then
      v_const := (int => 26.316 us / 83.333 ns);
    end if;
    return v_const;
  end function get_constants;

  constant rec_constant : t_record := get_constants('0');
begin
  o <= rec_constant.int;
end rtl;