aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1009/record_test.vhdl
blob: 7c8127782308729075d592b1752c47300255bf5c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity record_test is
  port (
    o : out integer
  );
end record_test;

architecture rtl of record_test is
  type t_record is record
    int  : integer;
  end record t_record;
  constant rec_constant : t_record := (int => 27.777 us / 83.333 ns);
  constant rec_constant2 : t_record := rec_constant;
begin
  o <= rec_constant2.int;
end rtl;