aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1007/test_entity.vhdl
blob: 3f70d8948ac85db17ff3d31f1324c350176a8023 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity test_entity is
    generic(
        DO_GEN : boolean := false
        );
    port(
        val_out : out std_logic
        );
end test_entity;

architecture rtl of test_entity is
begin
    set_val_1: if DO_GEN generate
        val_out <= '1';
    end generate;

    set_val_0: if not DO_GEN generate
        val_out <= '0';
    end generate;
end;