aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1005/test2.vhdl
blob: 47d7d60715c48a1e7e37372d8ddf486a93b0d005 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;
use std.env.stop;

entity test2 is
    generic (
        SIM : boolean := false
        );
    port (
        val : in std_ulogic
        );
end entity test2;

architecture behaviour of test2 is
begin
    process_0: process(all)
    begin
        if not SIM or val = '1' then
            null;
        else
            stop;
        end if;
    end process;
end architecture behaviour;