aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/if02/if02.vhdl
blob: b9155c7c48d8cb5c9ae7922ea95f530ea6baccc4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity if01 is
  port (a : std_logic;
        b : std_logic;
        sel : std_logic_vector (1 downto 0);
        s : out std_logic);
end if01;

architecture behav of if01 is
begin
  s <= a when sel = "01"
       else b when sel = "10";
end behav;