aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/if01/tb_if01.vhdl
blob: 2c608137d7b06c4292ddd1ec0ef50a1ac6c3dea5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
entity tb_if01 is
end tb_if01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_if01 is
  signal c0, c1 : std_logic;
  signal r : std_logic;
begin
  dut: entity work.if01
    port map (c0, c1, r);

  process
  begin
    c0 <= '1';
    c1 <= '0';
    wait for 1 ns;
    assert r = '0' severity failure;

    c0 <= '0';
    c1 <= '0';
    wait for 1 ns;
    assert r = '0' severity failure;

    c0 <= '1';
    c1 <= '1';
    wait for 1 ns;
    assert r = '1' severity failure;

    c0 <= '0';
    c1 <= '1';
    wait for 1 ns;
    assert r = '0' severity failure;

    wait;
  end process;
end behav;