aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/if01/if01.vhdl
blob: 0e1084a12fe6e01af1f14f7cc462ef845775c856 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity if01 is
  port (c0, c1 : std_logic;
        r : out std_logic);
end if01;

architecture behav of if01 is
begin
  process (c0, c1)
  begin
    r <= '0';
    if c0 = '1' then
      if c1 = '1' then
        r <= '1';
      end if;
    end if;
  end process;
end behav;