aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/tb_iassoc04.vhdl
blob: 1da32a4695774a51539d07d9efb9247167425f8d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
entity tb_iassoc04 is
end tb_iassoc04;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_iassoc04 is
  signal a, b : bit_vector (3 downto 0);
  signal res : bit;
begin
  dut: entity work.iassoc04
    port map (a, b, res);

  process
  begin
    a <= "0001";
    b <= "0000";
    wait for 1 ns;
    assert res = '1' severity failure;

    a <= "0000";
    b <= "0000";
    wait for 1 ns;
    assert res = '0' severity failure;

    wait;
  end process;
end behav;