aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/tb_iassoc03.vhdl
blob: 8bcaf360fce6e58c78212815aca0ceff719dbf27 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity tb_iassoc03 is
end tb_iassoc03;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_iassoc03 is
  signal a : natural;
  signal b : natural;
  signal res : natural;
begin
  dut: entity work.iassoc03
    port map (a, b, res);

  process
  begin
    a <= 1;
    b <= 5;
    wait for 1 ns;
    assert res = 6 severity failure;

    a <= 197;
    b <= 203;
    wait for 1 ns;
    assert res = 400 severity failure;

    wait;
  end process;
end behav;