aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/tb_iassoc01.vhdl
blob: 0fcb4271274938dd5d2ddfc979e8602bb928e0b0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity tb_iassoc01 is
end tb_iassoc01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_iassoc01 is
  signal a : natural;
  signal b : natural;
  signal res : natural;
begin
  dut: entity work.iassoc01
    port map (a, b, res);

  process
  begin
    a <= 1;
    b <= 5;
    wait for 1 ns;
    assert res = 6 severity failure;

    a <= 197;
    b <= 203;
    wait for 1 ns;
    assert res = 400 severity failure;

    wait;
  end process;
end behav;