aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc12.vhdl
blob: f7b7f54a094be64569f4db3a533d253a0ae6b1e3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
use work.pkg.all;

entity riassoc12 is
  port (v : natural;
        res : out nat_rec);
end riassoc12;

architecture behav of riassoc12 is
begin
  res.a <= v + 1;
  res.b <= v + 2;
end behav;

entity iassoc12 is
  port (v : natural;
        a, b : out natural);
end iassoc12;

use work.pkg.all;

architecture behav of iassoc12 is
  component riassoc12 is
    port (v : natural;
          res : out nat_rec);
  end component;
begin
  inst : riassoc12
    port map (v => v, res.a => a, res.b => b);
end behav;