aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc11.vhdl
blob: f66c0ef5987d084747d1297fc4b17c9566b6dba0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
use work.pkg.all;

entity riassoc11 is
  port (v : nat_rec;
        res : out natural);
end riassoc11;

architecture behav of riassoc11 is
begin
  res <= v.a + v.b;
end behav;

entity iassoc11 is
  port (a, b : natural;
        res : out natural);
end iassoc11;

use work.pkg.all;

architecture behav of iassoc11 is
  component riassoc11 is
    port (v : nat_rec;
          res : out natural);
  end component;
begin
  inst : riassoc11
    port map (v.a => a, v.b => b, res => res);
end behav;