aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/iassoc01/iassoc01.vhdl
blob: 6a0a46bd0a635104f0c7d16f7ff6b440ce7808e1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
use work.pkg.all;

entity riassoc01 is
  port (v : nat_rec;
        res : out natural);
end riassoc01;

architecture behav of riassoc01 is
begin
  res <= v.a + v.b;
end behav;

entity iassoc01 is
  port (a, b : natural;
        res : out natural);
end iassoc01;

architecture behav of iassoc01 is
begin
  inst : entity work.riassoc01
    port map (v.a => a, v.b => b, res => res);
end behav;