aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func02/tb_func01.vhdl
blob: 3e93546258e9e71a992a9e66aa204bef2a9d2870 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity tb_func01 is
end tb_func01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_func01 is
  signal a, b : std_logic_vector(7 downto 0);
begin
  dut: entity work.func01
    port map (a, b);

  process
  begin
    a <= x"5d";
    wait for 1 ns;
    assert b = x"1d" severity failure;

    a <= x"ff";
    wait for 1 ns;
    assert b = x"3f" severity failure;

    a <= x"c0";
    wait for 1 ns;
    assert b = x"00" severity failure;

    wait;
  end process;
end behav;