aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/tb_func07.vhdl
blob: d383222a04fade77af774166d8f3f8d2bc8d6933 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity tb_func07 is
end tb_func07;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_func07 is
  signal v, r : std_ulogic_vector(7 downto 0);
begin
  dut: entity work.func07
    port map (v, r);

  process
  begin
    v <= "00000000";
    wait for 1 ns;
    assert r = x"00" severity failure;

    v <= "00100100";
    wait for 1 ns;
    assert r = x"02" severity failure;

    v <= "11100111";
    wait for 1 ns;
    assert r = x"06" severity failure;

    wait;
  end process;
end behav;