aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/tb_func04.vhdl
blob: 026afcaa5fd544f4a0ae25c7877f54602dfe77d7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity tb_func04 is
end tb_func04;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_func04 is
  signal a, b, r : std_logic_vector(7 downto 0);
begin
  dut: entity work.func04
    port map (a, b, r);

  process
  begin
    a <= x"5d";
    b <= x"78";
    wait for 1 ns;
    assert r = x"79" severity failure;

    a <= x"0f";
    b <= x"f0";
    wait for 1 ns;
    assert r = x"f3" severity failure;

    wait;
  end process;
end behav;