aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/tb_func03.vhdl
blob: d9ef0c0eb2626ae487ce25d751edabd52b45d628 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity tb_func03 is
end tb_func03;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_func03 is
  signal a, b : std_logic_vector(7 downto 0);
begin
  dut: entity work.func03
    port map (a, b);

  process
  begin
    a <= x"ff";
    wait for 1 ns;
    assert b = x"01" severity failure;

    a <= x"ee";
    wait for 1 ns;
    assert b = x"00" severity failure;

    wait;
  end process;
end behav;