aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/tb_func02.vhdl
blob: c00db3a3a90aadc9acdbcf0d1602fc45c5e5cb73 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
entity tb_func02 is
end tb_func02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_func02 is
  signal a, b : std_logic_vector(7 downto 0);
begin
  dut: entity work.func02
    port map (a, b);

  process
  begin
    a <= x"5d";
    wait for 1 ns;
    assert b = x"01" severity failure;

    a <= x"ff";
    wait for 1 ns;
    assert b = x"01" severity failure;

    a <= x"fe";
    wait for 1 ns;
    assert b = x"00" severity failure;

    wait;
  end process;
end behav;