aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/func05.vhdl
blob: e282b24a6825ca0f9162035fdd28d4d536b7e102 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity func05 is
  port (s : natural;
        r : out std_logic_vector (15 downto 0));
end func05;

architecture behav of func05 is
  function mapv (sel : natural) return std_logic_vector
  is
    variable res : std_logic_vector(15 downto 0) := (others => '0');
  begin
    if sel = 2 then
      res := x"1234";
    end if;
    return res;
  end mapv; 
begin
  r <= mapv (s);
end behav;