aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/func01/func03.vhdl
blob: 10022c9cbe5bfc2343bbf5747e72c0d84ffe93bd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;

entity func03 is
  port (a : std_logic_vector (7 downto 0);
        b : out std_logic_vector (7 downto 0));
end func03;

architecture behav of func03 is
  function gen_mask (len : natural) return std_logic_vector is
    variable res : std_logic_vector (len - 1 downto 0);
  begin
    res := (0 => '1', others => '0');
    return res;
  end gen_mask;
  constant mask : std_logic_vector(7 downto 0) := gen_mask (8);
begin
  b <= a and mask;
end behav;