blob: 9476c91305a07c3af9b2033f3a0caa012265a217 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
|
library ieee;
use ieee.std_logic_1164.all;
entity func02 is
port (a : std_logic_vector (7 downto 0);
b : out std_logic_vector (7 downto 0));
end func02;
architecture behav of func02 is
function gen_mask (len : natural) return std_logic_vector is
variable res : std_logic_vector (len - 1 downto 0);
begin
res := (0 => '1', others => '0');
return res;
end gen_mask;
begin
b <= a and gen_mask (8);
end behav;
|