aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/forgen01/forgen02.vhdl
blob: 0562b19c5a392040d90b22dbf1138aac73b5aba0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;

entity init is
  port (o : out std_logic);
end init;

architecture behav of init is
begin
  o <= '0';
end behav;

library ieee;
use ieee.std_logic_1164.all;

entity forgen02 is
  port (a : out std_logic_vector (7 downto 0));
end;

architecture behav of forgen02 is
--  constant c : std_logic_vector (7 downto 0) := x"a1";
begin
  gen: for i in a'range generate
    inst: entity work.init
      port map (o => a(i));
  end generate;
end behav;