aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/forgen01/forgen01.vhdl
blob: b3e5a0eb0aa23c0a1cb88b09cfb7fadfc1e96157 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity forgen01 is
  port (a : out std_logic_vector (7 downto 0));
end;

architecture behav of forgen01 is
  constant c : std_logic_vector (7 downto 0) := x"a1";
begin
  gen: for i in a'range generate
    a (i) <= c (i);
  end generate;
end behav;