aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/tb_rec04.vhdl
blob: fd91b32c87c40ddea5cd48e6e08b45436fdff250 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity tb_rec04 is
end tb_rec04;

library ieee;
use ieee.std_logic_1164.all;
use work.rec04_pkg.all;

architecture behav of tb_rec04 is
  signal inp : std_logic;
  signal r : myrec;
begin
  dut: entity work.rec04
    port map (inp => inp, o => r);

  process
  begin
    inp <= '1';
    wait for 1 ns;
    assert r = (a => "0001", b => '0') severity failure;

    inp <= '0';
    wait for 1 ns;
    assert r = (a => "1000", b => '1') severity failure;

    wait;
  end process;
end behav;