aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/tb_rec02.vhdl
blob: 6bfb1ea80a3b61f41a02e1411bfdfd1dd7cac005 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
entity tb_rec02 is
end tb_rec02;

library ieee;
use ieee.std_logic_1164.all;
use work.rec02_pkg.all;

architecture behav of tb_rec02 is
  signal inp : std_logic;
  signal r : myrec;
begin
  dut: entity work.rec02
    port map (inp => inp, o => r);

  process
  begin
    inp <= '0';
    wait for 1 ns;
    assert r = (b => '1', a => 5)  severity failure;

    inp <= '1';
    wait for 1 ns;
    assert r = (b => '0', a => 3)  severity failure;

    wait;
  end process;
end behav;