aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec08.vhdl
blob: cb56af4a189e1777f32b7e831e83a6875bfb577f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec08_pkg.all;

entity rec08 is
  port (inp : std_logic;
        o : out myrec);
end rec08;

architecture behav of rec08 is
begin
  o.b <= not inp;
  o.a <= "1" when inp = '1' else "0";
end behav;