aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec07.vhdl
blob: f34c00483897ee897b0aeb3e29d30d8de0a68bf7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec07_pkg.all;

entity rec07 is
  port (inp : std_logic;
        o : out myrec);
end rec07;

architecture behav of rec07 is
begin
  o.b <= not inp;
  o.a <= "0001" when inp = '1' else "1000";
end behav;