aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec06.vhdl
blob: 8cebf82b85393e95bacf4e60575c7459e7adbb2f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rec06_pkg.all;

entity rec06 is
  port (inp : std_logic;
        o : out myrec);
end rec06;

architecture behav of rec06 is
begin
  o.b <= not inp;
  o.a.c <= 2 when inp = '1' else 3;
  o.a.d <= "0000" when inp = '0' else "1000";
end behav;