aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec05.vhdl
blob: 1d5623dce1b25e8576d9dd28869a65ed04589af5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec05_pkg.all;

entity rec05 is
  port (inp : std_logic;
        o : out myrec);
end rec05;

architecture behav of rec05 is
begin
  o.b <= not inp;
  o.a <= "0101" when inp = '0' else "1010";
end behav;