aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec04.vhdl
blob: 15fab1f6e391dc7dfc9a0089697c0b5a1f205106 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec04_pkg.all;

entity rec04 is
  port (inp : std_logic;
        o : out myrec);
end rec04;

architecture behav of rec04 is
begin
  o.b <= not inp;
  o.a <= "0001" when inp = '1' else "1000";
end behav;