aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec03.vhdl
blob: 763f83a72ede7de49d7c97b061f898c3dcbe58c4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec03_pkg.all;

entity rec03 is
  port (inp : std_logic;
        o : out myrec);
end rec03;

architecture behav of rec03 is
begin
  o.b <= not inp;
  o.a <= s3 when inp = '0' else s0;
end behav;