aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec02.vhdl
blob: a93bc9f307905f19b551abf6366d61f1c1e4bea9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec02_pkg.all;

entity rec02 is
  port (inp : std_logic;
        o : out myrec);
end rec02;

architecture behav of rec02 is
begin
  o.b <= not inp;
  o.a <= 3 when inp = '1' else 5;
end behav;