aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/rec01.vhdl
blob: eee090ec27730a236d3bf50380115065be032b5f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use work.rec01_pkg.all;

entity rec01 is
  port (inp : std_logic;
        o : out myrec);
end rec01;

architecture behav of rec01 is
begin
  o.a <= inp;
  o.b <= not inp;
end behav;