aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec10.vhdl
blob: 53fefc73b14b9afa3ee8beeb3d82cd31d720370d (plain)
1
2
3
4
5
6
7
8
library ieee;
use ieee.std_logic_1164.all;

package rec10_pkg is
  type myrec is record
     b : std_logic_vector (1 to 1);
  end record;
end rec10_pkg;