aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec08.vhdl
blob: cebafe9043e58e26fbdd24158290aedf2a0ebc7a (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

package rec08_pkg is
  type myrec is record
     a : bit_vector (0 downto 0);
     b : std_logic;
  end record;
end rec08_pkg;