aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispout01/pkg_rec07.vhdl
blob: 7c5775b5c7ec5421cd3a15e6de03bd45f848b6a4 (plain)
1
2
3
4
5
6
7
8
9
library ieee;
use ieee.std_logic_1164.all;

package rec07_pkg is
  type myrec is record
     a : bit_vector (3 downto 0);
     b : std_logic;
  end record;
end rec07_pkg;